Monday, July 10, 2023

A use case of: UVM verification environment universal template verifying a DUT with handshake controlled input and output

 Verilog RTL verification

A use case of: UVM verification environment universal template verifying a DUT with handshake controlled input and output

Presenting Another Use Case of the UVM Verification Environment Universal Template: Verifying a DUT using DUTCycle-Correct Model.

In this article, we explore yet another compelling use case of the UVM verification environment universal template. Our focus lies on verifying a DUT that features a handshake-controlled input and output mechanism. In this scenario, we leverage the availability of a cycle-correct DUT model to streamline the verification process and enhance efficiency. Join us as we delve into the details of this practical application and uncover the benefits it offers.

In this blog post, we provide a comprehensive collection of source code files for the UVM verification environment, tailored specifically for verifying a simple RTL Digital Design Under Test (DUT) with input and output data handshake controls.These files are assembled based on our previous blog post, titled "A use case of: UVM verification environment universal template: Using UVM verification environment universal template as a starting point for full verification of a simple RTL Digital Design Under Test (DUT)". You can find the complete set of files and further details at the following link: https://asicstoic.blogspot.com/2023/06/uvm-verification-environment-universal.html.

In comparing this blog post with the previous one, we introduce a new assumption and an increase in DUT complexity. The new assumption is the availability of a DUT HDL (Hardware Descriptive Language) clock cycle correct model, specifically in SystemVerilog. To showcase the full functionality of the presented UVM verification infrastructure, I use the same DUT RTL as the DUT cycle correct model, which serves as a clever shortcut. Furthermore, the vectors employed in this UVM-based verification are fully randomized, ensuring comprehensive test coverage. As for the DUT complexity, it has increased significantly in this case. The DUT now features a complete handshake control interface, enabling efficient data input and output operations.

The basic verification idea is simple: randomized input data is applied to both DUT and its model and on every clock cycle it is verified that data output of DUT and its model matched.         

UVM verification environment  architecture


For UVM verification  of any DUT what  we need to think about is an architecture so our architecture will contain files organized in a  System Verilog UVM hierarchy as described in previously showed  block diagram 

  • top testbench module :  testbench.sv

    • Design file 

      • DUT.sv 

    • UVM verification infrastructure files

      • Design “golden” clock cycle correct  model

        • DUT_model.sv

      • Interface_model.sv ( SystemVerilog interface of a desired DUT model )

      •  Interface.sv ( SystemVerilog interface of a desired DUT ) 

      • UVM verification classes ( one class per file and  per  UVM block in previously presented block diagram) :

        • test class (COMPONENT class, test.sv)

          • environment class (COMPONENT class, env.sv) 

          • scoreboard  class (COMPONENT class, scoreboard.sv)

          • agent class ( COMPONENT class, agent.sv)  

            • driver (COMPONENT class, driver.sv) 

            • monitor (COMPONENT class, monitor.sv)  

            • sequencer (COMPONENT class, sequencer.sv) 

        • sequence/sequence item ( two OBJECT classes in one file:  sequence.sv)

DUT 

DUT input and output data exchange controls using handshake interfaces is based on Producer/Consumer exchange of data. The same DUT and the handshake mechanism were already  described in one of the previous blog posts:  https://asicstoic.blogspot.com/2023/06/verilog-rtl-verification-cocotb-based.html


module SimpleDUT_hs (
    input CLK,
    input RST_N,

    input        en_din_i,
    output reg   rdy_din_o,
    input [3:0]  din_i,

    input        en_dout_i,
    output reg   rdy_dout_o,
    output reg[3:0] dout_o
);

// Define the states using localparam
  localparam START_ST = 1'b0;
  localparam WR_ST    = 1'b1;

  reg next_rdy_dout       ;
  reg[3:0] next_dout ;

  reg state, next_state ;


  always @(posedge CLK or negedge RST_N) begin
      if (!RST_N) begin
        state      <= START_ST;
        rdy_dout_o <= 1'b0    ;
        dout_o     <= 4'd0    ;
      end else begin
        state      <= next_state     ;
        rdy_dout_o <= next_rdy_dout   ;
        dout_o     <= next_dout      ;
      end
    end


    always @(*) begin
      next_state    = state ;
      next_rdy_dout = rdy_dout_o;
      next_dout     = dout_o;

      rdy_din_o     = 1'b0;
      case(state)
////////////////////////////
        START_ST: begin
          if(en_din_i) begin
            next_dout     = din_i;
            rdy_din_o     = 1'b1;

            next_rdy_dout = 1'b1;

            next_state = WR_ST;
          end
        end

///////////////////////////
        WR_ST: begin
          case({en_din_i, en_dout_i})
            2'b00, 2'b10:
              next_state    = state ;
            2'b01: begin
              next_rdy_dout = 1'b0;
              next_state = START_ST;
            end
            2'b11: begin
              next_dout     = din_i;
              rdy_din_o     = 1'b1;
            end
          endcase

        end
      endcase
    end


endmodule


Interface

interface SimpleDUT_hs_interface(input logic CLK);
  logic [3:0] din_i, dout_o;
  logic  RST_N;  

  logic en_din_i;  
  logic rdy_din_o;


  logic en_dout_i;
  logic rdy_dout_o;
   
endinterface: SimpleDUT_hs_interface


 testbench.sv ( top testbench module )


`timescale 1ns/1ns

import uvm_pkg::*;


`include "uvm_macros.svh"

//--------------------------------------------------------
//Include Files
//--------------------------------------------------------

`include "interface.sv"
// 1. change compared to previous blog post: including here the DUT golden cycle correct SystemVerilog model.
`include "interface_model.sv"
`include "design_model.sv"

`include "sequence_item.sv"
`include "sequence.sv"
`include "sequencer.sv"
`include "driver.sv"
`include "monitor.sv"
`include "agent.sv"
`include "scoreboard.sv"
`include "env.sv"
`include "test.sv"

module top;

logic CLK;

  SimpleDUT_hs_interface intf(.CLK(CLK));

  SimpleDUT_hs dut(
    .CLK(intf.CLK),
    .RST_N(intf.RST_N),
    .din_i(intf.din_i),
    .dout_o(intf.dout_o),
    .en_din_i(intf.en_din_i),
    .rdy_din_o(intf.rdy_din_o),
    .en_dout_i(intf.en_dout_i),
    .rdy_dout_o(intf.rdy_dout_o));

// 2. change comparing to previous blog post: instantiation and integration of DUT model
// DUT design and DUT model share the same inputs and the data outputs are connected to
// their respective interfaces and available for comparison in the UVM scoreboard.


  SimpleDUT_hs_interface_model intf_model(.CLK(CLK));

  SimpleDUT_hs dut_model(
    .CLK(intf.CLK),
    .RST_N(intf.RST_N),
    .din_i(intf.din_i),
    .dout_o(intf_model.dout_o),
    .en_din_i(intf.en_din_i),
    .rdy_din_o(intf_model.rdy_din_o),
    .en_dout_i(intf.en_dout_i),
    .rdy_dout_o(intf_model.rdy_dout_o));

//--------------------------------------------------------
  //Interface Setting
  //--------------------------------------------------------
  initial begin

    uvm_config_db #(virtual SimpleDUT_hs_interface)::set(null, "*", "vif", intf );

    // 3. change comparing to previous blog post: the uvm_config_db::set call sets the configuration value "vif_model"
    // with the value intf (an instance of SimpleDUT_interface) in the global context for all instances in the hierarchy
    // including the scoreboard the only instance that need access to DUT model.
    uvm_config_db #(virtual SimpleDUT_hs_interface_model)::set(null, "*", "vif_model", intf_model );

  end


//Start The Test
  //--------------------------------------------------------
  initial begin
    run_test("SimpleDUT_hs_test");
  end

  //--------------------------------------------------------
  //Clock Generation
  //--------------------------------------------------------
  initial begin
    CLK = 0;
    #5;
    forever begin
      CLK = ~CLK;
      #2;
    end
  end
  //--------------------------------------------------------
  //Maximum Simulation Time
  //--------------------------------------------------------
  initial begin
    #5000;
    $display("Sorry! Ran out of clock cycles!");
    $finish();
  end


  //--------------------------------------------------------
  //Generate Waveforms
  //--------------------------------------------------------

  initial begin
    $dumpfile("d.vcd");
    $dumpvars();
  end

endmodule: top






UVM Test Component 

The "UVM Test Component" in this context is the final version, built upon the "UVM Test Component" template. It remains consistent with the UVM verification process used for DUTs without handshake control signals. 


class SimpleDUT_hs_test extends uvm_test;


  `uvm_component_utils(SimpleDUT_hs_test)

  SimpleDUT_hs_env env;

  SimpleDUT_hs_base_sequence reset_seq;

  SimpleDUT_hs_test_sequence test_seq;

  //--------------------------------------------------------
  // Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_test", uvm_component parent);
    super.new(name, parent);
    `uvm_info("TEST_CLASS", "Inside Constructor!", UVM_HIGH)
  endfunction: new

  //--------------------------------------------------------
  // Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("TEST_CLASS", "Build Phase!", UVM_HIGH)

    env = SimpleDUT_hs_env::type_id::create("env", this);


  endfunction: build_phase

//--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("TEST_CLASS", "Connect Phase!", UVM_HIGH)

  endfunction: connect_phase

//--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("TEST_CLASS", "Run Phase!", UVM_HIGH)
    phase.raise_objection(this);

    reset_seq = SimpleDUT_hs_base_sequence::type_id::create("reset_seq");
    reset_seq.start(env.agnt.seqr);
    #10;

    repeat(100) begin
      //test_seq
      test_seq = SimpleDUT_hs_test_sequence::type_id::create("test_seq");
      test_seq.start(env.agnt.seqr);
      #10;
    end

    phase.drop_objection(this);

  endtask: run_phase


endclass: SimpleDUT_hs_test



Environment class 


The "Environment class" presented in this blog post is the final version, derived from the "UVM Environment Component template". As before it is worth noting that this version remains consistent with the UVM verification approach used for DUTs without handshake control signals.



class SimpleDUT_hs_env extends uvm_test;
  `uvm_component_utils(SimpleDUT_hs_env)

  SimpleDUT_hs_agent agnt;

  SimpleDUT_hs_scoreboard scb;


  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_env", uvm_component parent);
    super.new(name, parent);
    `uvm_info("ENV_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new

  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("ENV_CLASS", "Build Phase!", UVM_HIGH)

    agnt = SimpleDUT_hs_agent::type_id::create("agnt", this);

    scb = SimpleDUT_hs_scoreboard::type_id::create("scb", this);

endfunction: build_phase


  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("ENV_CLASS", "Connect Phase!", UVM_HIGH)

    agnt.mon.monitor_port.connect(scb.scoreboard_port);

  endfunction: connect_phase


  //--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("ENV_CLASS", "Run Phase!", UVM_HIGH)

    endtask: run_phase

endclass: SimpleDUT_hs_env



 

Agent class

The Agent class, once again a Component class, remains unchanged from the previous scenario where the DUT lacked handshake control signals, ensuring a seamless transition in the UVM verification process. 



class SimpleDUT_hs_agent extends uvm_agent;
  `uvm_component_utils(SimpleDUT_hs_agent)

  SimpleDUT_hs_driver    drv;
  SimpleDUT_hs_monitor   mon;
  SimpleDUT_hs_sequencer seqr;

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_agent", uvm_component parent);
    super.new(name, parent);
    `uvm_info("AGENT_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new


  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("AGENT_CLASS", "Build Phase!", UVM_HIGH)

    drv = SimpleDUT_hs_driver::type_id::create("drv", this);
    mon = SimpleDUT_hs_monitor::type_id::create("mon", this);
    seqr = SimpleDUT_hs_sequencer::type_id::create("seqr", this);

endfunction: build_phase


  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("AGENT_CLASS", "Connect Phase!", UVM_HIGH)

    drv.seq_item_port.connect(seqr.seq_item_export);

  endfunction: connect_phase


  //--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("AGENT_CLASS", "Run Phase!", UVM_HIGH)

  endtask: run_phase

endclass: SimpleDUT_hs_agent


Driver class

The "Driver class" in this final solution is derived from the "Driver class template." Interestingly, it remains consistent with the approach used for UVM verification of a DUT without handshake control signals, except for the addition of driving the input portion of the DUT's handshake control ports.

class SimpleDUT_hs_driver extends uvm_driver#(SimpleDUT_hs_sequence_item);
  `uvm_component_utils(SimpleDUT_hs_driver)

  virtual SimpleDUT_hs_interface vif;

  SimpleDUT_hs_sequence_item item;

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_driver", uvm_component parent);
    super.new(name, parent);
    `uvm_info("DRIVER_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new


  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("DRIVER_CLASS", "Build Phase!", UVM_HIGH)

    if(!(uvm_config_db #(virtual SimpleDUT_hs_interface)::get(this, "*", "vif", vif))) begin
      `uvm_error("DRIVER_CLASS", "Failed to get VIF from config DB!")
    end

  endfunction: build_phase


  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("DRIVER_CLASS", "Connect Phase!", UVM_HIGH)

  endfunction: connect_phase


  //--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("DRIVER_CLASS", "Run Phase!", UVM_HIGH)

    forever begin
      item = SimpleDUT_hs_sequence_item::type_id::create("item");

      seq_item_port.get_next_item(item);

      drive(item);

      seq_item_port.item_done();
    end
  endtask: run_phase

  task drive(SimpleDUT_hs_sequence_item item);
    @(posedge vif.CLK);
    // As for other DUT UVM verification, driver provide all DUT input signal ports
    vif.RST_N <= item.RST_N;
    vif.din_i <= item.din_i;
    // Only difference from DUT without handshake controls, here we drive
    // additional input part of DUT handshake control ports
    vif.en_din_i <= item.en_din_i;
    vif.en_dout_i <= item.en_dout_i;
  endtask


endclass: SimpleDUT_hs_driver



Monitor class

The "Monitor class" is the final solution derived from the "Monitor class template," and it shares similarities with the previous no handshake control signals DUT UVM verification, with one key difference: it solely monitors the output data port of the DUT.


class SimpleDUT_hs_monitor extends uvm_monitor;
  `uvm_component_utils(SimpleDUT_hs_monitor)

  virtual SimpleDUT_hs_interface vif;

  SimpleDUT_hs_sequence_item item;

  uvm_analysis_port #(SimpleDUT_hs_sequence_item) monitor_port;

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_monitor", uvm_component parent);
    super.new(name, parent);
    `uvm_info("MONITOR_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new


  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("MONITOR_CLASS", "Build Phase!", UVM_HIGH)

    monitor_port = new("monitor_port", this);

    if(!(uvm_config_db #(virtual SimpleDUT_hs_interface)::get(this, "*", "vif", vif))) begin
      `uvm_error("MONITOR_CLASS", "Failed to get VIF from config DB!")
end

endfunction: build_phase


  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("MONITOR_CLASS", "Connect Phase!", UVM_HIGH)

  endfunction: connect_phase


  //--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("MONITOR_CLASS", "Run Phase!", UVM_HIGH)
    // Logic
    forever begin
      // Create "item" that monitor will sample from DUT's interface
      item = SimpleDUT_hs_sequence_item::type_id::create("item");

      wait(vif.RST_N);
        @(posedge vif.CLK);
        // item.din_i = vif.din_i;
        // Passing only DUT output data to scoreboard
        // Further in scoreboard, there will be a self_checking verification: DUT output data vs.
        // DUT model output data on each clock cycle.
        item.dout_o = vif.dout_o;
        monitor_port.write(item);
        `uvm_info("MONITOR_CLASS", "write function, pushed in fifo something", UVM_HIGH)
    end

  endtask: run_phase

endclass: SimpleDUT_hs_monitor



Sequencer class  


The "Sequencer" class, once again a component class, remains unchanged from the previous case of UVM verification for a DUT without handshake control signals.


class SimpleDUT_hs_sequencer extends uvm_sequencer#(SimpleDUT_hs_sequence_item);
    `uvm_component_utils(SimpleDUT_hs_sequencer)

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
    function new(string name = "SimpleDUT_hs_sequencer", uvm_component parent);
    super.new(name, parent);
    `uvm_info("SEQUENCER_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new

  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("SEQUENCER_CLASS", "Build Phase!", UVM_HIGH)


  endfunction: build_phase

  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("SEQUENCER_CLASS", "Connect Phase!", UVM_HIGH)

  endfunction: connect_phase

endclass: SimpleDUT_hs_sequencer



Sequence item class

The "Sequence item class" in this solution is derived from the "Sequence item class template" and remains unchanged from the UVM verification of a DUT without handshake control signals, except for the inclusion of DUT handshake control logic. 

This enhancement enables verification using  randomized DUT port inputs (all of them) and their corresponding constraints.


class SimpleDUT_hs_sequence_item extends uvm_sequence_item;

  `uvm_object_utils(SimpleDUT_hs_sequence_item)

  //--------------------------------------------------------
  //Instantiation
  //--------------------------------------------------------
  rand logic [3:0] din_i;
  logic [3:0]      dout_o;
  rand logic       RST_N;

  // DUT handshake control logic, defined here in the usual fashion: randomized DUT port inputs
  // and observed (non-randomised) DUT port outputs
  rand logic       en_din_i;
  logic            rdy_din_o;

  rand logic       en_dout_i;
  logic            rdy_dout_o;

  //--------------------------------------------------------
  //Default Constraints
  //--------------------------------------------------------
  constraint input1_c { din_i     inside {[0:15]};}
  constraint input2   { RST_N     inside {[0:1]};}

  // DUT handshake control logic randomized DUT port inputs
  // are constraint here
  constraint input3   { en_din_i  inside {[0:1]};}
  constraint input4   { en_dout_i inside {[0:1]};}

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_sequence_item");
    super.new(name);

  endfunction: new

endclass: SimpleDUT_hs_sequence_item



Base sequence class

The "Base sequence class" serves as the final solution, derived from the "Base sequence item class template," and remains consistent even when verifying a DUT without handshake control signals in the UVM verification process.

 

class SimpleDUT_hs_base_sequence extends uvm_sequence;
 


`uvm_object_utils(SimpleDUT_hs_base_sequence)
  SimpleDUT_hs_sequence_item reset_pkt;


  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name= "SimpleDUT_hs_base_sequence");
    super.new(name);
    `uvm_info("BASE_SEQ", "Inside Constructor!", UVM_HIGH)
  endfunction

  //--------------------------------------------------------
  //Body Task
  //--------------------------------------------------------
  task body();
    `uvm_info("BASE_SEQ", "Inside body task!", UVM_HIGH)

    reset_pkt = SimpleDUT_hs_sequence_item::type_id::create("reset_pkt");

    reset_pkt = SimpleDUT_hs_sequence_item::type_id::create("reset_pkt");

    start_item(reset_pkt);
    // Note*: Power On Reset signal is active low
    reset_pkt.randomize() with {RST_N==0;};

    finish_item(reset_pkt);

  endtask: body

endclass: SimpleDUT_hs_base_sequence


class SimpleDUT_hs_test_sequence extends SimpleDUT_hs_base_sequence;
  `uvm_object_utils(SimpleDUT_hs_test_sequence)

  SimpleDUT_hs_sequence_item item;

  integer count = 0;

  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name= "SimpleDUT_test_sequence");
    super.new(name);
    `uvm_info("TEST_SEQ", "Inside Constructor!", UVM_HIGH)
  endfunction


  //--------------------------------------------------------
  //Body Task
  //--------------------------------------------------------
  task body();
    `uvm_info("TEST_SEQ", "Inside body task!", UVM_HIGH)

    item = SimpleDUT_hs_sequence_item::type_id::create("item");

    start_item(item);
    // Note*: Power On Reset signal is active low
      item.randomize() with {RST_N==1;};
    finish_item(item);

  endtask: body




endclass: SimpleDUT_hs_test_sequence


Building the Scoreboard: Integrating Interfaces between the scoreboard to DUT/ DUT Model

In order to create the scoreboard component class, we will begin with the UVM scoreboard component class template code. Our task is to incorporate both interfaces: one to the DUT (Design Under Test) and the other to the DUT model. 

The interface to the DUT model will be responsible for sampling the expected data output values, while the interface to the DUT serves a less significant role, primarily used for detecting the main system clock propagation within the scoreboard.


class SimpleDUT_hs_scoreboard extends uvm_test;
  `uvm_component_utils(SimpleDUT_hs_scoreboard)
  uvm_analysis_imp #(SimpleDUT_hs_sequence_item, SimpleDUT_hs_scoreboard) scoreboard_port;

  SimpleDUT_hs_sequence_item transactions[$];

  // in order to have connection between scoreboard and DUT model 's interface
  // we need to declare is a virtual SimpleDUT_hs_interface_model in scoreboard and the handle would be
  // "vif_model"
    virtual SimpleDUT_hs_interface_model vif_model;

  // Connection between DUT design interface and the scoreboard is marginally important
  // and it is used only for main system clock propagation detection in the scoreboard.
    virtual SimpleDUT_hs_interface       vif;


  //--------------------------------------------------------
  //Constructor
  //--------------------------------------------------------
  function new(string name = "SimpleDUT_hs_scoreboard", uvm_component parent);
    super.new(name, parent);
    `uvm_info("SCB_CLASS", "Inside Constructor!", UVM_HIGH)

  endfunction: new

  //--------------------------------------------------------
  //Build Phase
  //--------------------------------------------------------
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    `uvm_info("SCB_CLASS", "Build Phase!", UVM_HIGH)

    scoreboard_port = new("scoreboard_port", this);

// As before here again the line of code retrieves the configuration setting named "vif"/"vif_model"
// of type SimpleDUT_interface from the configuration database, using the current object as the context,
// and stores the retrieved value in the variable "vif"/"vif_model"
    if(!(uvm_config_db #(virtual SimpleDUT_hs_interface_model)::get(this, "*", "vif_model", vif_model))) begin
      `uvm_error("SCB_CLASS", "Failed to get VIF MODEL from config DB!")
    end
    if(!(uvm_config_db #(virtual SimpleDUT_hs_interface)::get(this, "*", "vif", vif))) begin
      `uvm_error("SCB_CLASS", "Failed to get VIF DUT from config DB!")
    end

endfunction: build_phase

  //--------------------------------------------------------
  //Connect Phase
  //--------------------------------------------------------
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    `uvm_info("SCB_CLASS", "Connect Phase!", UVM_HIGH)

  endfunction: connect_phase

  //--------------------------------------------------------
  //Write Method
  //--------------------------------------------------------
  function void write(SimpleDUT_hs_sequence_item item);
    transactions.push_back(item);
    `uvm_info("SCB_CLASS", "write function, pushed in fifo something", UVM_HIGH)
  endfunction: write

//--------------------------------------------------------
  //Run Phase
  //--------------------------------------------------------
  task run_phase (uvm_phase phase);
    super.run_phase(phase);
    `uvm_info("SCB_CLASS", "Run Phase!", UVM_HIGH)

      /*
      // GET THE PACKET
      // GENERATE EXPECTED VALUE
      // COMPARE IT WITH ACTUAL VALUE
      // SCORE THE TRANSACTIONS ACCORDINGLY
      */
    forever begin

      SimpleDUT_hs_sequence_item curr_trans;

      // GET THE PACKET:
      wait((transactions.size() != 0));

      curr_trans = transactions.pop_front();

      compare(curr_trans);
    end
  endtask: run_phase

  //--------------------------------------------------------
  //Compare : Generate Expected Result and Compare with Actual
  //--------------------------------------------------------
  task compare(SimpleDUT_hs_sequence_item curr_trans);
    logic [3:0] expected;
    logic [3:0] actual;

    // GENERATE EXPECTED VALUE
    expected = vif_model.dout_o;

    actual   = curr_trans.dout_o;

    // COMPARE IT WITH ACTUAL VALUE and
    // SCORE THE TRANSACTIONS ACCORDINGLY
    if(actual !== expected) begin
      `uvm_error("COMPARE", $sformatf("Transaction failed! ACT=%d, EXP=%d", actual, expected))
    end
    else begin
      `uvm_info("COMPARE", $sformatf("Transaction Passed! ACT=%d, EXP=%d", actual, expected), UVM_LOW)
    end

  endtask: compare

  endclass: SimpleDUT_hs_scoreboard


    

Verification waveforms

Upper waveforms part are DUT signals, followed by DUT model “golden” reference, clock accurate modes signals.


Simulation/Verification log

[2023-07-10 07:52:22 UTC] vcs -licqueue '-timescale=1ns/1ns' '+vcs+flush+all' '+warn=all' '-sverilog' +incdir+$UVM_HOME/src $UVM_HOME/src/uvm.sv $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS design.sv testbench.sv && ./simv +vcs+lic+wait '+UVM_VERBOSITY=UVM_HIGH'


Parsing included file '/apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/uvm_macros.svh'.

Back to file 'testbench.sv'.

Parsing included file 'interface.sv'.

Back to file 'testbench.sv'.

Parsing included file 'interface_model.sv'.

Back to file 'testbench.sv'.

Parsing included file 'design_model.sv'.

Back to file 'testbench.sv'.

Parsing included file 'sequence_item.sv'.

Back to file 'testbench.sv'.

Parsing included file 'sequence.sv'.

Back to file 'testbench.sv'.

Parsing included file 'sequencer.sv'.

Back to file 'testbench.sv'.

Parsing included file 'driver.sv'.

Back to file 'testbench.sv'.

Parsing included file 'monitor.sv'.

Back to file 'testbench.sv'.

Parsing included file 'agent.sv'.

Back to file 'testbench.sv'.

Parsing included file 'scoreboard.sv'.

Back to file 'testbench.sv'.

Parsing included file 'env.sv'.

Back to file 'testbench.sv'.

Parsing included file 'test.sv'.

Back to file 'testbench.sv'.

Top Level Modules:

SimpleDUT_hs_model

top

TimeScale is 1 ns / 1 ns



Starting vcs inline pass...


8 modules and 0 UDP read.

recompiling package vcs_paramclassrepository

recompiling package _vcs_DPI_package

recompiling package uvm_pkg

recompiling module SimpleDUT_hs

recompiling module SimpleDUT_hs_interface

recompiling module SimpleDUT_hs_interface_model

recompiling module SimpleDUT_hs_model

recompiling module top

All of 8 modules done

Chronologic VCS simulator copyright 1991-2021

Contains Synopsys proprietary information.

Compiler version S-2021.09; Runtime version S-2021.09; Jul 10 03:52 2023

UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]

----------------------------------------------------------------

UVM-1.2.Synopsys

(C) 2007-2014 Mentor Graphics Corporation

(C) 2007-2014 Cadence Design Systems, Inc.

(C) 2006-2014 Synopsys, Inc.

(C) 2011-2013 Cypress Semiconductor Corp.

(C) 2013-2014 NVIDIA Corporation

----------------------------------------------------------------


UVM_INFO test.sv(31) @ 0: uvm_test_top [TEST_CLASS] Inside Constructor!

UVM_INFO @ 0: reporter [RNTST] Running test SimpleDUT_hs_test...

UVM_INFO test.sv(39) @ 0: uvm_test_top [TEST_CLASS] Build Phase!

UVM_INFO env.sv(21) @ 0: uvm_test_top.env [ENV_CLASS] Inside Constructor!

UVM_INFO env.sv(30) @ 0: uvm_test_top.env [ENV_CLASS] Build Phase!

UVM_INFO agent.sv(19) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Inside Constructor!

UVM_INFO scoreboard.sv(32) @ 0: uvm_test_top.env.scb [SCB_CLASS] Inside Constructor!

UVM_INFO agent.sv(29) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Build Phase!

UVM_INFO driver.sv(28) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Inside Constructor!

UVM_INFO monitor.sv(27) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Inside Constructor!

UVM_INFO sequencer.sv(13) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Inside Constructor!

UVM_INFO driver.sv(38) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Build Phase!

UVM_INFO monitor.sv(37) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Build Phase!

UVM_INFO sequencer.sv(23) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Build Phase!

UVM_INFO scoreboard.sv(41) @ 0: uvm_test_top.env.scb [SCB_CLASS] Build Phase!

UVM_INFO driver.sv(55) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Connect Phase!

UVM_INFO monitor.sv(60) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Connect Phase!

UVM_INFO sequencer.sv(34) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Connect Phase!

UVM_INFO agent.sv(46) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Connect Phase!

UVM_INFO scoreboard.sv(63) @ 0: uvm_test_top.env.scb [SCB_CLASS] Connect Phase!

UVM_INFO env.sv(48) @ 0: uvm_test_top.env [ENV_CLASS] Connect Phase!

UVM_INFO test.sv(52) @ 0: uvm_test_top [TEST_CLASS] Connect Phase!

UVM_INFO driver.sv(65) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Run Phase!

UVM_INFO monitor.sv(70) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Run Phase!

UVM_INFO agent.sv(62) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Run Phase!

UVM_INFO scoreboard.sv(92) @ 0: uvm_test_top.env.scb [SCB_CLASS] Run Phase!

UVM_INFO env.sv(68) @ 0: uvm_test_top.env [ENV_CLASS] Run Phase!

UVM_INFO test.sv(61) @ 0: uvm_test_top [TEST_CLASS] Run Phase!

UVM_INFO sequence.sv(15) @ 0: reporter@@reset_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(25) @ 0: uvm_test_top.env.agnt.seqr@@reset_seq [BASE_SEQ] Inside body task!

UVM_INFO sequence.sv(15) @ 15: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 15: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 15: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 21: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 21: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 21: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 25: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 25: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 25: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 27: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 27: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 27: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 29: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 29: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 29: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 33: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 33: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 33: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 37: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 37: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 37: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 39: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 39: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 39: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 41: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 41: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 41: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 45: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 45: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 45: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 49: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 49: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 49: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 51: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 51: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 51: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 53: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 53: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 53: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 57: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 57: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 57: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 61: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 61: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 61: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 63: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 63: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 63: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 65: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 65: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 65: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 69: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 69: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 69: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 73: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 73: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 73: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 75: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 75: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 75: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 77: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 77: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 77: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 81: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 81: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 81: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 85: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 85: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 85: uvm_test_top.env.scb [COMPARE] Transaction Passed!

                                                       Etc. etc.

 ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 87: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 87: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 87: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 89: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 89: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 89: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 93: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 93: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 93: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 97: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 97: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 97: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 99: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 99: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 99: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 101: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 101: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 101: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 105: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 105: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 105: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 109: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 109: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 109: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 111: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 111: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 111: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 113: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 113: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 113: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 117: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 117: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 117: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 121: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 121: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 121: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO sequence.sv(15) @ 123: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 123: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 123: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 125: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 125: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 125: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 129: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 129: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 129: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 133: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 133: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 133: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO sequence.sv(15) @ 135: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 135: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 135: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 137: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 137: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 137: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 141: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 141: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 141: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 145: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 145: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 145: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 147: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 147: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 147: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 149: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 149: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 149: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 153: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 153: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 153: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 157: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 157: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 157: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 159: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 159: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 159: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 161: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 161: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 161: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 165: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 165: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 165: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 169: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 169: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 169: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 171: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 171: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 171: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 173: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 173: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 173: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 177: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 177: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 177: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 181: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 181: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 181: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 183: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 183: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 183: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 185: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 185: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 185: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 189: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 189: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 189: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 193: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 193: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 193: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 195: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 195: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 195: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 197: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 197: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 197: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 201: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 201: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 201: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 205: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 205: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 205: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 207: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 207: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 207: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 209: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 209: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 209: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 213: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 213: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 213: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 217: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 217: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 217: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO sequence.sv(15) @ 219: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 219: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 219: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 221: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 221: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 221: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 225: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 225: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 225: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 229: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 229: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 229: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO sequence.sv(15) @ 231: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 231: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 231: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 233: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 233: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 233: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 237: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 237: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 237: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 241: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 241: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 241: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO sequence.sv(15) @ 243: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 243: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 243: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 245: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 245: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 245: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 249: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 249: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 249: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 253: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 253: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 253: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 255: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 255: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 255: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 257: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 257: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 257: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 261: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 261: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 261: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 265: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 265: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 265: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 267: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 267: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 267: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 269: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 269: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 269: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 273: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 273: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 273: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 277: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 277: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 277: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 279: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 279: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 279: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 281: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 281: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 281: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 285: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 285: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 285: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 289: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 289: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 289: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 291: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 291: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 291: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 293: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 293: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 293: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 297: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 297: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 297: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 301: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 301: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 301: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 303: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 303: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 303: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 305: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 305: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 305: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 309: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 309: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 309: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 313: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 313: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 313: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 315: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 315: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 315: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 317: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 317: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 317: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 321: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 321: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 321: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 325: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 325: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 325: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 327: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 327: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 327: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 329: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 329: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 329: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 333: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 333: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 333: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 337: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 337: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 337: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 339: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 339: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 339: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 341: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 341: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 341: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 345: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 345: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 345: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 349: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 349: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 349: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 351: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 351: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 351: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 353: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 353: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 353: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 357: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 357: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 357: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 361: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 361: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 361: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO sequence.sv(15) @ 363: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 363: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 363: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 365: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 365: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 365: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 369: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 369: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 369: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 373: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 373: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 373: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO sequence.sv(15) @ 375: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 375: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 375: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 377: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 377: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 377: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 381: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 381: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 381: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 385: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 385: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 385: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO sequence.sv(15) @ 387: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 387: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 387: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 389: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 389: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 389: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 393: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 393: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 393: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0

UVM_INFO scoreboard.sv(79) @ 397: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 397: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 397: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 399: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 399: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 399: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 401: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 401: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 401: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 405: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 405: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 405: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 409: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 409: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 409: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 411: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 411: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 411: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 413: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 413: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 413: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 417: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 417: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 417: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 421: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 421: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 421: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 423: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 423: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 423: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 425: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 425: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 425: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 429: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 429: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 429: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 433: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 433: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 433: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO sequence.sv(15) @ 435: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 435: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 435: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 437: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 437: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 437: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO scoreboard.sv(79) @ 441: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 441: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 441: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO scoreboard.sv(79) @ 445: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 445: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 445: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO sequence.sv(15) @ 447: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 447: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 447: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 449: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 449: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 449: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO scoreboard.sv(79) @ 453: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 453: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 453: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9

UVM_INFO scoreboard.sv(79) @ 457: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 457: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 457: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 459: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 459: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 459: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 461: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 461: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 461: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 465: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 465: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 465: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 469: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 469: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 469: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 471: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 471: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 471: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 473: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 473: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 473: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 477: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 477: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 477: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 481: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 481: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 481: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 483: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 483: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 483: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 485: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 485: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 485: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 489: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 489: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 489: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 493: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 493: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 493: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 495: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 495: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 495: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 497: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 497: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 497: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 501: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 501: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 501: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 505: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 505: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 505: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO sequence.sv(15) @ 507: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 507: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 507: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 509: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 509: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 509: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 513: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 513: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 513: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6

UVM_INFO scoreboard.sv(79) @ 517: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 517: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 517: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8

UVM_INFO sequence.sv(15) @ 519: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 519: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 519: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 521: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 521: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 521: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8

UVM_INFO scoreboard.sv(79) @ 525: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 525: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 525: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8

UVM_INFO scoreboard.sv(79) @ 529: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 529: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 529: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 531: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 531: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 531: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 533: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 533: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 533: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 537: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 537: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 537: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 541: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 541: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 541: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 543: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 543: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 543: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 545: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 545: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 545: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 549: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 549: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 549: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 553: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 553: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 553: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 555: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 555: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 555: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 557: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 557: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 557: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 561: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 561: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 561: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 565: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 565: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 565: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 567: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 567: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 567: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 569: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 569: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 569: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 573: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 573: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 573: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 577: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 577: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 577: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 579: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 579: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 579: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 581: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 581: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 581: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 585: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 585: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 585: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 589: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 589: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 589: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO sequence.sv(15) @ 591: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 591: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 591: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 593: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 593: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 593: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 597: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 597: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 597: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11

UVM_INFO scoreboard.sv(79) @ 601: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 601: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 601: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 603: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 603: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 603: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 605: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 605: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 605: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 609: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 609: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 609: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 613: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 613: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 613: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 615: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 615: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 615: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 617: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 617: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 617: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 621: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 621: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 621: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 625: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 625: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 625: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 627: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 627: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 627: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 629: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 629: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 629: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 633: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 633: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 633: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 637: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 637: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 637: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 639: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 639: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 639: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 641: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 641: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 641: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 645: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 645: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 645: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 649: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 649: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 649: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 651: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 651: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 651: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 653: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 653: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 653: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 657: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 657: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 657: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 661: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 661: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 661: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 663: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 663: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 663: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 665: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 665: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 665: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 669: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 669: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 669: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 673: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 673: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 673: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 675: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 675: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 675: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 677: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 677: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 677: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 681: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 681: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 681: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 685: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 685: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 685: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 687: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 687: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 687: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 689: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 689: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 689: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 693: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 693: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 693: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 697: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 697: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 697: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 699: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 699: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 699: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 701: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 701: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 701: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 705: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 705: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 705: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 709: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 709: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 709: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 711: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 711: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 711: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 713: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 713: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 713: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 717: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 717: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 717: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 721: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 721: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 721: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 723: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 723: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 723: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 725: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 725: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 725: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 729: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 729: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 729: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 733: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 733: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 733: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 735: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 735: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 735: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 737: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 737: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 737: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 741: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 741: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 741: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 745: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 745: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 745: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO sequence.sv(15) @ 747: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 747: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 747: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 749: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 749: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 749: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 753: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 753: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 753: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14

UVM_INFO scoreboard.sv(79) @ 757: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 757: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 757: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 759: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 759: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 759: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 761: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 761: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 761: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 765: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 765: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 765: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 769: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 769: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 769: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 771: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 771: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 771: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 773: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 773: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 773: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 777: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 777: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 777: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 781: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 781: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 781: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 783: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 783: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 783: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 785: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 785: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 785: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 789: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 789: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 789: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 793: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 793: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 793: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO sequence.sv(15) @ 795: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 795: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 795: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 797: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 797: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 797: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 801: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 801: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 801: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15

UVM_INFO scoreboard.sv(79) @ 805: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 805: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 805: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 807: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 807: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 807: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 809: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 809: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 809: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 813: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 813: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 813: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 817: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 817: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 817: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 819: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 819: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 819: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 821: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 821: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 821: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 825: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 825: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 825: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 829: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 829: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 829: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 831: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 831: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 831: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 833: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 833: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 833: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 837: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 837: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 837: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 841: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 841: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 841: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 843: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 843: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 843: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 845: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 845: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 845: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 849: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 849: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 849: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 853: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 853: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 853: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO sequence.sv(15) @ 855: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 855: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 855: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 857: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 857: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 857: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 861: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 861: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 861: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12

UVM_INFO scoreboard.sv(79) @ 865: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 865: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 865: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 867: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 867: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 867: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 869: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 869: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 869: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 873: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 873: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 873: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 877: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 877: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 877: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 879: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 879: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 879: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 881: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 881: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 881: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 885: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 885: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 885: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 889: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 889: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 889: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 891: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 891: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 891: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 893: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 893: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 893: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 897: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 897: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 897: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 901: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 901: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 901: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 903: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 903: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 903: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 905: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 905: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 905: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 909: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 909: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 909: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 913: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 913: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 913: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 915: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 915: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 915: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 917: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 917: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 917: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 921: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 921: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 921: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 925: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 925: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 925: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 927: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 927: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 927: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 929: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 929: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 929: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 933: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 933: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 933: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 937: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 937: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 937: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 939: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 939: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 939: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 941: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 941: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 941: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 945: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 945: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 945: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 949: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 949: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 949: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 951: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 951: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 951: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 953: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 953: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 953: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 957: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 957: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 957: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 961: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 961: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 961: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 963: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 963: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 963: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 965: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 965: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 965: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 969: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 969: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 969: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 973: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 973: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 973: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO sequence.sv(15) @ 975: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 975: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 975: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 977: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 977: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 977: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 981: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 981: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 981: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2

UVM_INFO scoreboard.sv(79) @ 985: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 985: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 985: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 987: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 987: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 987: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 989: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 989: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 989: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 993: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 993: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 993: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 997: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 997: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 997: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 999: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 999: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 999: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1001: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1001: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1001: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1005: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1005: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1005: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1009: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1009: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1009: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 1011: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1011: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1011: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1013: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1013: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1013: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1017: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1017: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1017: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1021: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1021: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1021: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 1023: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1023: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1023: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1025: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1025: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1025: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1029: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1029: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1029: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1033: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1033: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1033: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 1035: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1035: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1035: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1037: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1037: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1037: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1041: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1041: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1041: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1045: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1045: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1045: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 1047: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1047: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1047: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1049: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1049: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1049: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1053: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1053: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1053: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1057: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1057: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1057: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 1059: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1059: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1059: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1061: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1061: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1061: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1065: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1065: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1065: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1069: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1069: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1069: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO sequence.sv(15) @ 1071: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1071: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1071: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1073: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1073: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1073: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1077: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1077: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1077: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4

UVM_INFO scoreboard.sv(79) @ 1081: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1081: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1081: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 1083: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1083: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1083: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1085: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1085: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1085: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1089: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1089: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1089: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1093: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1093: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1093: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 1095: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1095: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1095: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1097: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1097: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1097: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1101: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1101: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1101: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1105: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1105: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1105: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 1107: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1107: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1107: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1109: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1109: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1109: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1113: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1113: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1113: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1117: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1117: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1117: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 1119: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1119: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1119: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1121: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1121: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1121: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1125: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1125: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1125: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1129: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1129: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1129: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO sequence.sv(15) @ 1131: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1131: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1131: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1133: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1133: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1133: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1137: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1137: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1137: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3

UVM_INFO scoreboard.sv(79) @ 1141: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1141: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1141: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 1143: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1143: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1143: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1145: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1145: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1145: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 1149: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1149: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1149: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 1153: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1153: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1153: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO sequence.sv(15) @ 1155: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1155: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1155: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1157: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1157: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1157: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 1161: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1161: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1161: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5

UVM_INFO scoreboard.sv(79) @ 1165: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1165: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1165: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO sequence.sv(15) @ 1167: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1167: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1167: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1169: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1169: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1169: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1173: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1173: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1173: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1

UVM_INFO scoreboard.sv(79) @ 1177: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1177: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1177: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 1179: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1179: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1179: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1181: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1181: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1181: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1185: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1185: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1185: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1189: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1189: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1189: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 1191: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1191: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1191: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1193: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1193: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1193: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1197: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1197: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1197: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1201: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1201: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1201: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO sequence.sv(15) @ 1203: reporter@@test_seq [BASE_SEQ] Inside Constructor!

UVM_INFO sequence.sv(69) @ 1203: reporter@@test_seq [TEST_SEQ] Inside Constructor!

UVM_INFO sequence.sv(77) @ 1203: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!

UVM_INFO scoreboard.sv(79) @ 1205: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1205: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1205: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1209: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1209: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1209: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO scoreboard.sv(79) @ 1213: uvm_test_top.env.scb [SCB_CLASS] write function, pushed in fifo something

UVM_INFO monitor.sv(94) @ 1213: uvm_test_top.env.agnt.mon [MONITOR_CLASS] write function, pushed in fifo something

UVM_INFO scoreboard.sv(153) @ 1213: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13

UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_objection.svh(1276) @ 1215: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase

UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_report_server.svh(904) @ 1215: reporter [UVM/REPORT/SERVER]

--- UVM Report Summary ---


** Report counts by severity

UVM_INFO : 1229

UVM_WARNING : 0

UVM_ERROR : 0

UVM_FATAL : 0

** Report counts by id

[AGENT_CLASS] 4

[BASE_SEQ] 102

[COMPARE] 299

[DRIVER_CLASS] 4

[ENV_CLASS] 4

[MONITOR_CLASS] 303

[RNTST] 1

[SCB_CLASS] 303

[SEQUENCER_CLASS] 3

[TEST_CLASS] 4

[TEST_DONE] 1

[TEST_SEQ] 200

[UVM/RELNOTES] 1


$finish called from file "/apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_root.svh", line 527.

$finish at simulation time 1215

V C S S i m u l a t i o n R e p o r t

Time: 1215 ns

CPU Time: 0.940 seconds; Data structure size: 0.3Mb

Mon Jul 10 03:52:36 2023


© 2023 ASIC Stoic. All rights reserved.


No comments:

Post a Comment