Verilog RTL verification
A use case of: UVM verification environment universal template
Using UVM verification environment universal template as a starting point for full verification of a simple RTL Digital Design Under Test (DUT)
In this blog post there is a source code of all UVM verification environment files to use in verification of a simple RTL Digital Design Under Test (DUT). The assembly of files of the UVM verification is based on UVM verification environment universal template as described in the previous blog post: https://asicstoic.blogspot.com/2023/06/uvm-verification-environment-universal.html .
UVM verification environment architecture
For UVM verification of any DUT what we need to think about is an architecture so our architecture will contain files organized in a System Verilog UVM hierarchy as described in previously showed block diagram
top testbench module : testbench.sv
Design file
DUT.sv
UVM verification infrastructure files
Interface.sv ( SystemVerilog interface of a desired DUT )
UVM verification classes ( one class per file and per UVM block in previously presented block diagram) :
test class (COMPONENT class, test.sv)
environment class (COMPONENT class, env.sv)
scoreboard class (COMPONENT class, scoreboard.sv)
agent class ( COMPONENT class, agent.sv)
driver (COMPONENT class, driver.sv)
monitor (COMPONENT class, monitor.sv)
sequencer (COMPONENT class, sequencer.sv)
sequence/sequence item ( two OBJECT classes in one file: sequence.sv)
DUT
Interface
testbench.sv ( top testbench module )
UVM Test Component template
UVM Test Component ( final version based on UVM Test Component template )
Environment class/other COMPONENT classes templates
Let's create the environment class template which will be same as the test class template ( and in the same fashion all other necessary COMPONENT classes templates )
As a friendly reminder the environment class template will have instances of agent and scoreboard classes template instances as it is planned in our UVM verification infrastructure architecture
Environment class ( final version and based on Environment class template )
Agent class template ( once more a Component class )
Let's create the agent class template which will be same as the environment and test class templates ( and in the same fashion all other necessary COMPONENT classes )
The agent class template will have three instances class templates: driver sequencer and monitor
Agent class ( final version and based on the Agent class template )
Driver class template ( one more Component class )
Note: driver class is a parameterized class so we need to pass the name of the sequence item class that we are going to use
we haven't created the sequence item class but I know the name of it will be: SimpleDUT_sequence_item)
e.g. class SimpleDUT_driver extends uvm_driver#(SimpleDUT_sequence_item);
The driver knows what type of sequence item that we are going to drive on the sequencer and that is why we pass this as a parameter
Driver class(final solution based of the Driver class template )
Monitor class template ( one more Component class )
Monitor class(final solution based of the Monitor class template )
Sequencer class template ( once more Component class )
Note #1: Same as driver class, the sequencer class is a parameterized class so we need to pass the name of the sequence item class that we are going to use
we haven't created the sequence item class but I know the name of it will be: SimpleDUT_sequence_item)
e.g. class SimpleDUT_sequencer extends uvm_sequencer#(SimpleDUT_sequence_item);
Note# 2: also in the sequencer we don't need the “run” phase so I'll just comment out that part
actually we are not going to use any phases in sequencer but just since this is a basic test bench let’s keep it here anyway.
Sequence item class template ( an OBJECT class)
The sequence item class is an object class and not a component class so I won't copy
everything from the previous component class templates.
Sequence item class(final solution based of the Sequence item class template )
Base sequence class template ( an OBJECT class, file: sequence.sv )
Base sequence class(final solution based of the Base sequence item class template )
now let's code the scoreboard ( component class)
Let’s start with UVM scoreboard component class template code and then keep expanding the code also including numerated new code comments.
Verification waveforms
Simulation/Verification log
[2023-07-04 13:46:10 UTC] vcs -licqueue '-timescale=1ns/1ns' '+vcs+flush+all' '+warn=all' '-sverilog' +incdir+$UVM_HOME/src $UVM_HOME/src/uvm.sv $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS design.sv testbench.sv && ./simv +vcs+lic+wait '+UVM_VERBOSITY=UVM_HIGH'
Parsing design file 'design.sv'
Parsing design file 'testbench.sv'
Note-[SV-LCM-PPWI] Package previously wildcard imported
testbench.sv, 5
$unit
Package 'uvm_pkg' already wildcard imported.
Ignoring uvm_pkg::*
See the SystemVerilog LRM(1800-2005), section 19.2.1.
Parsing included file '/apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/uvm_macros.svh'.
Back to file 'testbench.sv'.
Parsing included file 'interface.sv'.
Back to file 'testbench.sv'.
Parsing included file 'sequence_item.sv'.
Back to file 'testbench.sv'.
Parsing included file 'sequence.sv'.
Back to file 'testbench.sv'.
Parsing included file 'sequencer.sv'.
Back to file 'testbench.sv'.
Parsing included file 'driver.sv'.
Back to file 'testbench.sv'.
Parsing included file 'monitor.sv'.
Back to file 'testbench.sv'.
Parsing included file 'agent.sv'.
Back to file 'testbench.sv'.
Parsing included file 'scoreboard.sv'.
Back to file 'testbench.sv'.
Parsing included file 'env.sv'.
Back to file 'testbench.sv'.
Parsing included file 'test.sv'.
Back to file 'testbench.sv'.
Top Level Modules:
top
TimeScale is 1 ns / 1 ns
Starting vcs inline pass...
6 modules and 0 UDP read.
recompiling package vcs_paramclassrepository
recompiling package _vcs_DPI_package
recompiling package uvm_pkg
recompiling module SimpleDUT
recompiling module SimpleDUT_interface
recompiling module top
All of 6 modules done
rm -f _cuarc*.so _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
g++ -w -pipe -m32 -DVCS -O -I/apps/vcsmx/vcs/S-2021.09/include -c /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/dpi/uvm_dpi.cc
gcc -w -pipe -m32 -DVCS -O -I/apps/vcsmx/vcs/S-2021.09/include -c -o uM9F1_0x2aB.o uM9F1_0x2aB.c
if [ -x ../simv ]; then chmod a-x ../simv; fi
g++ -o ../simv -m32 -m32 -rdynamic -Wl,-rpath='$ORIGIN'/simv.daidir -Wl,-rpath=./simv.daidir -Wl,-rpath=/apps/vcsmx/vcs/S-2021.09/linux/lib -L/apps/vcsmx/vcs/S-2021.09/linux/lib -Wl,-rpath-link=./ -Wl,--no-as-needed uvm_dpi.o objs/amcQw_d.o _415_archive_1.so SIM_l.o uM9F1_0x2aB.o rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o rmar_llvm_0_0.o -lvirsim -lerrorinf -lsnpsmalloc -lvfs -lvcsnew -lsimprofile -luclinative /apps/vcsmx/vcs/S-2021.09/linux/lib/vcs_tls.o -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o /apps/vcsmx/vcs/S-2021.09/linux/lib/vcs_save_restore_new.o /apps/vcsmx/vcs/S-2021.09/linux/lib/ctype-stubs_32.a -ldl -lc -lm -lpthread -ldl
../simv up to date
CPU time: 11.771 seconds to compile + .498 seconds to elab + .645 seconds to link
Chronologic VCS simulator copyright 1991-2021
Contains Synopsys proprietary information.
Compiler version S-2021.09; Runtime version S-2021.09; Jul 4 09:46 2023
UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]
----------------------------------------------------------------
UVM-1.2.Synopsys
(C) 2007-2014 Mentor Graphics Corporation
(C) 2007-2014 Cadence Design Systems, Inc.
(C) 2006-2014 Synopsys, Inc.
(C) 2011-2013 Cypress Semiconductor Corp.
(C) 2013-2014 NVIDIA Corporation
----------------------------------------------------------------
UVM_INFO test.sv(31) @ 0: uvm_test_top [TEST_CLASS] Inside Constructor!
UVM_INFO @ 0: reporter [RNTST] Running test SimpleDUT_test...
UVM_INFO test.sv(39) @ 0: uvm_test_top [TEST_CLASS] Build Phase!
UVM_INFO env.sv(30) @ 0: uvm_test_top.env [ENV_CLASS] Inside Constructor!
UVM_INFO env.sv(39) @ 0: uvm_test_top.env [ENV_CLASS] Build Phase!
UVM_INFO agent.sv(18) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Inside Constructor!
UVM_INFO scoreboard.sv(30) @ 0: uvm_test_top.env.scb [SCB_CLASS] Inside Constructor!
UVM_INFO agent.sv(28) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Build Phase!
UVM_INFO driver.sv(28) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Inside Constructor!
UVM_INFO monitor.sv(47) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Inside Constructor!
UVM_INFO sequencer.sv(14) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Inside Constructor!
UVM_INFO driver.sv(38) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Build Phase!
UVM_INFO monitor.sv(57) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Build Phase!
UVM_INFO sequencer.sv(24) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Build Phase!
UVM_INFO scoreboard.sv(40) @ 0: uvm_test_top.env.scb [SCB_CLASS] Build Phase!
UVM_INFO driver.sv(72) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Connect Phase!
UVM_INFO monitor.sv(96) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Connect Phase!
UVM_INFO sequencer.sv(34) @ 0: uvm_test_top.env.agnt.seqr [SEQUENCER_CLASS] Connect Phase!
UVM_INFO agent.sv(43) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Connect Phase!
UVM_INFO scoreboard.sv(53) @ 0: uvm_test_top.env.scb [SCB_CLASS] Connect Phase!
UVM_INFO env.sv(66) @ 0: uvm_test_top.env [ENV_CLASS] Connect Phase!
UVM_INFO test.sv(64) @ 0: uvm_test_top [TEST_CLASS] Connect Phase!
UVM_INFO driver.sv(83) @ 0: uvm_test_top.env.agnt.drv [DRIVER_CLASS] Run Phase!
UVM_INFO monitor.sv(106) @ 0: uvm_test_top.env.agnt.mon [MONITOR_CLASS] Run Phase!
UVM_INFO agent.sv(62) @ 0: uvm_test_top.env.agnt [AGENT_CLASS] Run Phase!
UVM_INFO scoreboard.sv(94) @ 0: uvm_test_top.env.scb [SCB_CLASS] Run Phase!
UVM_INFO env.sv(86) @ 0: uvm_test_top.env [ENV_CLASS] Run Phase!
UVM_INFO test.sv(72) @ 0: uvm_test_top [TEST_CLASS] Run Phase!
UVM_INFO sequence.sv(17) @ 0: reporter@@reset_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(23) @ 0: uvm_test_top.env.agnt.seqr@@reset_seq [BASE_SEQ] Inside body task!
UVM_INFO sequence.sv(17) @ 15: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 15: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 15: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 21: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 25: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO sequence.sv(17) @ 27: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 27: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 27: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 29: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 33: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 37: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 39: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 39: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 39: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 41: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 45: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 49: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO sequence.sv(17) @ 51: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 51: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 51: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 53: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 57: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 61: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO sequence.sv(17) @ 63: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 63: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 63: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 65: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 69: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO scoreboard.sv(145) @ 73: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO sequence.sv(17) @ 75: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 75: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 75: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 77: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO scoreboard.sv(145) @ 81: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 85: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 87: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 87: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 87: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 89: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 93: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 97: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 99: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 99: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 99: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 101: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 105: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 109: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 111: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 111: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 111: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 113: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 117: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 121: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 123: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 123: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 123: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 125: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 129: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 133: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO sequence.sv(17) @ 135: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 135: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 135: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 137: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 141: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 145: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 147: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 147: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 147: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 149: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 153: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 157: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 159: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 159: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 159: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 161: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 165: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 169: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO sequence.sv(17) @ 171: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 171: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 171: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 173: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 177: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 181: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO sequence.sv(17) @ 183: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 183: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 183: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 185: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 189: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 193: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 195: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 195: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 195: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 197: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 201: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 205: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 207: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 207: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 207: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 209: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 213: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 217: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 219: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 219: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 219: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 221: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 225: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 229: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 231: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 231: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 231: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 233: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 237: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 241: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO sequence.sv(17) @ 243: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 243: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 243: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 245: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 249: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 253: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 255: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 255: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 255: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 257: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 261: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 265: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 267: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 267: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 267: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 269: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 273: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 277: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO sequence.sv(17) @ 279: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 279: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 279: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 281: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 285: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 289: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 291: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 291: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 291: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 293: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 297: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 301: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 303: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 303: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 303: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 305: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 309: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 313: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 315: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 315: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 315: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 317: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 321: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 325: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 327: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 327: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 327: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 329: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 333: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 337: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 339: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 339: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 339: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 341: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 345: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 349: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO sequence.sv(17) @ 351: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 351: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 351: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 353: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 357: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 361: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO sequence.sv(17) @ 363: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 363: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 363: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 365: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 369: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 373: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 375: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 375: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 375: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 377: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 381: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 385: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 387: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 387: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 387: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 389: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 393: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 397: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 399: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 399: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 399: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 401: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 405: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 409: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO sequence.sv(17) @ 411: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 411: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 411: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 413: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 417: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 421: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 423: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 423: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 423: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 425: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 429: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 433: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO sequence.sv(17) @ 435: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 435: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 435: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 437: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=10, EXP=10
UVM_INFO scoreboard.sv(145) @ 441: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 445: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 447: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 447: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 447: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 449: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 453: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 457: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO sequence.sv(17) @ 459: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 459: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 459: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 461: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 465: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 469: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO sequence.sv(17) @ 471: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 471: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 471: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 473: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 477: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 481: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 483: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 483: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 483: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 485: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 489: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 493: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO sequence.sv(17) @ 495: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 495: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 495: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 497: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 501: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 505: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO sequence.sv(17) @ 507: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 507: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 507: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 509: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 513: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 517: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO sequence.sv(17) @ 519: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 519: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 519: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 521: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 525: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 529: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 531: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 531: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 531: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 533: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 537: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 541: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO sequence.sv(17) @ 543: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 543: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 543: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 545: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 549: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 553: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO sequence.sv(17) @ 555: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 555: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 555: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 557: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 561: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 565: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 567: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 567: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 567: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 569: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 573: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 577: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO sequence.sv(17) @ 579: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 579: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 579: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 581: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 585: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 589: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 591: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 591: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 591: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 593: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 597: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 601: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 603: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 603: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 603: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 605: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 609: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 613: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 615: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 615: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 615: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 617: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 621: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 625: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO sequence.sv(17) @ 627: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 627: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 627: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 629: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 633: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 637: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 639: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 639: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 639: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 641: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 645: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 649: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO sequence.sv(17) @ 651: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 651: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 651: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 653: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=15, EXP=15
UVM_INFO scoreboard.sv(145) @ 657: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 661: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 663: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 663: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 663: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 665: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 669: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 673: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 675: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 675: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 675: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 677: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 681: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 685: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 687: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 687: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 687: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 689: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 693: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 697: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 699: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 699: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 699: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 701: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 705: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 709: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 711: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 711: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 711: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 713: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 717: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 721: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO sequence.sv(17) @ 723: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 723: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 723: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 725: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 7, EXP= 7
UVM_INFO scoreboard.sv(145) @ 729: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 733: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO sequence.sv(17) @ 735: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 735: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 735: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 737: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 8, EXP= 8
UVM_INFO scoreboard.sv(145) @ 741: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 745: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO sequence.sv(17) @ 747: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 747: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 747: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 749: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 753: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 757: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 759: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 759: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 759: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 761: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 765: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 769: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 771: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 771: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 771: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 773: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 777: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 781: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO sequence.sv(17) @ 783: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 783: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 783: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 785: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 789: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 793: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 795: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 795: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 795: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 797: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 801: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 805: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO sequence.sv(17) @ 807: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 807: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 807: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 809: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 6, EXP= 6
UVM_INFO scoreboard.sv(145) @ 813: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 817: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO sequence.sv(17) @ 819: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 819: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 819: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 821: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 825: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 829: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 831: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 831: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 831: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 833: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 837: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 841: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 843: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 843: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 843: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 845: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 849: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 853: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 855: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 855: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 855: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 857: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 861: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 865: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 867: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 867: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 867: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 869: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 873: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 877: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 879: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 879: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 879: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 881: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 885: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 889: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 891: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 891: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 891: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 893: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 897: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 901: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 903: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 903: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 903: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 905: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 909: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 913: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 915: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 915: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 915: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 917: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 921: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 925: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 927: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 927: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 927: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 929: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 933: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 937: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 939: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 939: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 939: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 941: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 945: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 949: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO sequence.sv(17) @ 951: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 951: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 951: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 953: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=12, EXP=12
UVM_INFO scoreboard.sv(145) @ 957: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 961: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 963: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 963: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 963: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 965: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 969: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 973: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 975: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 975: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 975: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 977: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 981: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO scoreboard.sv(145) @ 985: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO sequence.sv(17) @ 987: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 987: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 987: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 989: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO scoreboard.sv(145) @ 993: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 997: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO sequence.sv(17) @ 999: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 999: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 999: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1001: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=14, EXP=14
UVM_INFO scoreboard.sv(145) @ 1005: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1009: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 1011: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1011: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1011: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1013: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1017: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 1021: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 1023: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1023: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1023: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1025: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 1029: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 1033: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 1035: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1035: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1035: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1037: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 1041: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 1045: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 1047: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1047: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1047: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1049: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 1053: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 1057: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 1059: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1059: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1059: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1061: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 1065: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 1069: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO sequence.sv(17) @ 1071: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1071: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1071: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1073: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 3, EXP= 3
UVM_INFO scoreboard.sv(145) @ 1077: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 1081: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 1083: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1083: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1083: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1085: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 1089: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 1093: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO sequence.sv(17) @ 1095: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1095: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1095: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1097: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 4, EXP= 4
UVM_INFO scoreboard.sv(145) @ 1101: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 1105: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 1107: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1107: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1107: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1109: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 1113: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 1117: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO sequence.sv(17) @ 1119: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1119: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1119: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1121: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 1125: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 1129: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO sequence.sv(17) @ 1131: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1131: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1131: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1133: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 9, EXP= 9
UVM_INFO scoreboard.sv(145) @ 1137: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1141: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 1143: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1143: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1143: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1145: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1149: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 1153: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO sequence.sv(17) @ 1155: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1155: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1155: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1157: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 2, EXP= 2
UVM_INFO scoreboard.sv(145) @ 1161: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1165: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO sequence.sv(17) @ 1167: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1167: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1167: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1169: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=11, EXP=11
UVM_INFO scoreboard.sv(145) @ 1173: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 1177: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO sequence.sv(17) @ 1179: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1179: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1179: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1181: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 5, EXP= 5
UVM_INFO scoreboard.sv(145) @ 1185: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 1189: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO sequence.sv(17) @ 1191: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1191: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1191: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1193: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 1, EXP= 1
UVM_INFO scoreboard.sv(145) @ 1197: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 1201: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO sequence.sv(17) @ 1203: reporter@@test_seq [BASE_SEQ] Inside Constructor!
UVM_INFO sequence.sv(61) @ 1203: reporter@@test_seq [TEST_SEQ] Inside Constructor!
UVM_INFO sequence.sv(69) @ 1203: uvm_test_top.env.agnt.seqr@@test_seq [TEST_SEQ] Inside body task!
UVM_INFO scoreboard.sv(145) @ 1205: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT= 0, EXP= 0
UVM_INFO scoreboard.sv(145) @ 1209: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO scoreboard.sv(145) @ 1213: uvm_test_top.env.scb [COMPARE] Transaction Passed! ACT=13, EXP=13
UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_objection.svh(1276) @ 1215: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
UVM_INFO /apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_report_server.svh(904) @ 1215: reporter [UVM/REPORT/SERVER]
--- UVM Report Summary ---
** Report counts by severity
UVM_INFO : 631
UVM_WARNING : 0
UVM_ERROR : 0
UVM_FATAL : 0
** Report counts by id
[AGENT_CLASS] 4
[BASE_SEQ] 102
[COMPARE] 299
[DRIVER_CLASS] 4
[ENV_CLASS] 4
[MONITOR_CLASS] 4
[RNTST] 1
[SCB_CLASS] 4
[SEQUENCER_CLASS] 3
[TEST_CLASS] 4
[TEST_DONE] 1
[TEST_SEQ] 200
[UVM/RELNOTES] 1
$finish called from file "/apps/vcsmx/vcs/S-2021.09//etc/uvm-1.2/src/base/uvm_root.svh", line 527.
$finish at simulation time 1215
V C S S i m u l a t i o n R e p o r t
Time: 1215 ns
CPU Time: 0.740 seconds; Data structure size: 0.3Mb
© 2023 ASIC Stoic. All rights reserved.